文章目录

  • 数据选择器设计
  • 代码实现和仿真波形
  • 下节内容——3-8译码器

数据选择器设计

数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。在选择变量n控制下,从多路数据输入中某一路数据送至输出端。对于一个具有 2^n 个输入和 1 个输出的多路选择器,有 n 个选择变量。多路选择器也是 FPGA 内部的一个基本资源,主要用于内部信号的选通。简单的多路选择器还可以通过级联生成更大的多路选择器。

下边举例来说明一下数据选择器,不妨设选择器为2输入in1,in2,选择端为sel,输出out。
选择器的逻辑是这样的,如果sel=0,选择in2信号输出,即out=in2,如果sel=1,选择in1信号输出,sel=in1。而在数字电路当中,一个变量可以有两个状态0和1,所以两输入变量对应四种不同的结果,如果考虑选择端sel,则可以列出如下真值表所示。

selin1in2out
0000
0011
0100
0111
1000
10
本站无任何商业行为
个人在线分享 » (1)FPGA仿真——二选一数据选择器
E-->